Протокол uart. Вам будет интересно. UART — что это и как это работает

UART - Universal Asynchronous Receiver-Transmitter, или по русски Универсальный Асинхронный Приёмопередатчик - УАПП. Используется для организации связи компьютера с различными цифровыми устройствами в электронике. Интерфейс преобразует передаваемые данные в последовательный код так, чтобы была возможна их передача по одной цифровой линии другому электронному устройству. Прямого описания протокола UART все таки есть, но его косвенное описание можно увидеть в стандартах на широко известные физический протоколы RS-232, RS-422, RS-423, RS-485

В чем разница между этими интерфейсами. В последовательном интерфейсе данные посылаются по одному проводу последовательно, друг за другом, а у "параллельного" имеется шина состоящая из нескольких проводов, по которым части сообщения передаются параллельно.

Главным достоинством параллельной передачи можно считать то, что за один момент посылается сразу группа битов. К тому же внутри микроконтроллера также используется параллельная передача данных, благодаря этому не требуется их дополнительное преобразовании. Но есть и существенные минусы. Главный из них состоит в том, что биты по проводам могут приходить не параллельно и необходимы дополнительные схемотехнические решения для получения точных посылок. Это ограничивает скорость передачи.

При последовательном передаче передаваемые биты данных сначала требуется преобразовать в параллельный код, на это расходуется дополнительное временя. Но зато отпадает необходимость в синхронизации следования битов по каждому отдельному проводу, что в данном случае, увеличивает возможности скоростной передачи данных.

Универсальный Асинхронный Приёмопередатчик - УАПП применяется с начала 60-х годов прошлого века и с тех пор претерпевал серьезные модернизации. Даже в 21 столетии последовательные протоколы UART всё ещё представляют один из основных методов обмена битами между различными цифровыми устройствами на небольшие расстояния.

UART является базой так широко используемого в прошлом . В самом простом виде UART интерфейс представляет собой три провода: передача, приём и земля.


Существенный минус универсального асинхронного приёмопередатчика кроется в том, что нет возможности определить какое из цифровых устройств является ведущим, а какое ведомым (мастер / раб). Обычно, это определяет то кто проектирует схему может назвать этот провод как TX и задать работу устройства, в соответствии с рисунком ниже:


В данном случае микроконтроллер принимает, и передаёт данные. А можно сделать следующим образом:


В соответствие с этой схемой микроконтроллер всегда передаёт (TX) получателю (RX) и наоборот. Какая из двух схем все таки правильная? Оказывается обе, все зависит только от производителя микросхемы и готового цифрового устройства.

Внимание TX, подключенный к TX и RX-RX, в большинстве случаев приведет к сгоранию микросхемы, так что это хороший пример того, что надо читать документацию перед тем как соединять чипы по UART, так как существует несколько способов соединения.

Если приемник и передатчик находятся на одной печатной плате, тогда уровень сигнала при приеме-передаче практически равен уровню напряжения питания микроконтроллера. Допустим, уровень логической единицы - "1" будет передаваться с потенциалом 3.3В, а ноль, с потенциалом, не более 0,5 Вольта. С передачей сигнала на большие расстояния начинают появляться проблемы в виде искажения сигнала и растет , появляются ошибки передачи, вплоть до полной остановки.

Для того, чтобы исключить такие проблемы в линию передачи и приема добавляют дополнительные буферы, которые усиливают сигнал. После этого их можно передавать на десятки метров без потери информации. Но в д.с для передачи уровня логической единицы применяется напряжение -3В..-15В, а для "0" - +3В до +15В.


Вместо инхронизации в UART применяется, так называемый "стартовый бит" подготавливающий цифровую схему к передачи сообщения. После стартового бита иду данные, а затем в линию посылается "стоп-бит", говорящий о завершении передачи информации. Вместе выходит 10 бит: первый - старт-бит, 8 бит данных, и последний стоп-бит, смотри осциллограмму передачи данных по протоколу UART интерфейса на рисунке ниже.


Биты передаются с определенной скоростью передачи, которая измеряется в битах в секунду или, в бодах. Так 9600 бод эквивалентно 9600 бит/сек. А так как у нас передаётся 10 бит за одно сообщение, это значит, что мы при этой скорости можем передать 960 сообщений за одну секунду.

Значение скорости передачи не передаётся вместе с сообщением, то в приёмнике и передатчике должны быть заранее заданы равные скорости. Интерфейс UART допускает до 5% рассинхронизации таймеров. В этом интервале он может получать и принимать верные информационные данные.

Можно сказать со 100% уверенностью, что каждый современный микроконтроллер имеет в своем составе универсальный последовательный интерфейс - UART. Умея работать с этим портом вы можете согласовать работу старых и современных электронных устройств, передать или принять данные в различные электронные устройства.

В современных микроконтроллерах, вместо UART интерфейса используют полностью с ним совместимый стандарт USART (универсальный асинхронный/синхронный приёмопередатчик).

USART это более гибкий в плане настройки UART с дополнительными функциями. В USART можно регулировать длину слова с более большим интервалом (от 5 до 9) чем в UART (от 8 до 9). В USART возможна как синхронная так асинхронная и передача данных (в UART осуществляется только асинхронная). При синхронной передачи помимо двух линий - данных и питания, применяется дополнительная шина (XCK) с синхросигналом. С такой настройкой USART уже пересекается с интерфейсом SPI и его можно применять как «ведущий» в интерфейсе SPI.

Рассмотрим классический случай, когда интерфейс асинхронный (т.е. с отсутствующей линией синхронизации).

Передача данных в UART интерфейсе происходит по одному биту в одинаковые временные промежутки. Этот промежуток задается скоростью UART и для конкретного типа соединения обозначается в бодах, что соответствует количество бит в секунду. В электронике имеется общепринятый ряд типовых скоростей: 300; 600; 1200; 2400; 4800; 9600; 19200; 38400; 57600; 115200; 230400;460800; 921600 бод;

Скорость (S, бод) и длительность бита (T, секунд) связаны между собой общеизвестной формулой

Байт данных отправляются в пакетах (первый бит идет перед байтом данных и второй бит следует после, количество бит опциональны)


Для приема и передачи данных в интерфейсе UART применяются всего две линии данных и земля:

передающая шина данных (TXD или TX);
принимающая линия данных (RXD или RX);
земля (GND).

Уровню логической единицы и нуля аналогичны типовым уровням TTL:

лог. "1" - +5 Вольт;
лог. "0" 0 Вольт.

Разновидность UART - интерфейс RS-485

Сети, построенные на основе интерфейсов RS-485 и RS-422, представляет собой приемопередатчики, подключенные с помощью витой пары. В основе RS-485 лежит принцип дифференциальной (балансной) передачи данных. Основа ее базируется на передаче одного сигнала по двум проводам. Причем по первому проводу (A) интерфейса следует оригинальный сигнал, а по второму - его инверсная копия. Простыми словами, если на А "1", то на В "0" и наоборот, т.е, между двумя проводами витой пары всегда существует разность потенциалов: при "1" уровне она положительная, при "нулевом" - отрицательная.

» я описывал, что значит последовательный универсальный порт и какова его логика работы. Я заметил, что многие путают понятия UART , RS-232 , COM и т.п. В данном посте я хочу немного прояснить ясность.



UART описывает логику работу , здесь логическая 1-а подразумевается как высокий уровень сигнала , а логический 0-ль, как низкий уровень сигнала . Физически…, что такое низкий и высокий уровень сигнала зависит от технологии на которой построена микросхема TTL , CMOS и т.д.

Т.к. большинство микросхем являются TTL , то, под логической единицей и нулем в UART понимается и +5В , как было сказано ранее. Но для передачи данных на расстояние , т.е. вне платы, использовать такие уровни уже нельзя, из-за плохой помехозащищенности . Поэтому, были разработаны следующие физические уровни UART:

  • RS-232 (он же COM порт);
  • RS-422
  • RS-423 (используется для автоматизации в промышленности);
  • RS-485 (используется для автоматизации в промышленности);
  • IrDA (UART с использованием инфракрасного диапазона световых волн, по другому ИК порт)

RS-232 ранее применялся в домашних компьютерах под именем COM порт и служил для подключения мышек, модемов, принтеров . Можно сказать — это самый распространенный из физических уровней UART . Название строится из словосочетания «Recommended Standard 232 «, стандарт был разработан «Ассоциацией электронной промышленности (EIA) » в далеком 1962 году.

Стандарт со временем развивался:

  • RS-232C (1968 г.);
  • EIA-232D (1987 г.) — с 1986 года EIA вместо RS стала использовать аббревиатуру EIA;
  • TIA/EIA 232-E (1991);
  • ANSI/TIA/EIA-232-F (1997 г.).

На самом деле, когда говорят о RS-232 имеют как правило, какой нибудь из этих стандартов .

RS-232 был настолько успешен , что на его основе были созданы идентичные как отечественные так и зарубежные стандарты:

  • (2000 г.);
  • (1993 г.);
  • ГОСТ 18145-81
  • ГОСТ Р 50668-94

и т.д. Вся фишка в том, что бесплатно скачать стандарты серии 232 не возможно…, вы можете найти только вышеуказанные стандарты и использовать их как первоисточник для изучения RS-232 и UART .

(если вам все-таки понадобятся оригинальные стандарты, купить их можно можно на сайте TIA (ассоциация телекоммуникационной промышленности США))

В качестве разъема для RS-232 используется 25-и пиновые и 9-и пиновые разъёмы типа D-sub , которые имеют сокращенную запись DB25 и DB9 (иногда называемая CANNON 25 и CANNON 9 ).

Больше прижились разъемы DB9 .

Зачем столько контактов, если для последовательного универсального порта (UART) достаточно два провода данных TX и RX и провод GND?

Дело в том, что, в стандарте RS-232 помимо вышеуказанных применяются еще и сервисные сигналы, наподобие «готовность терминала «, «запрос на отправку «, «готовность приема » и т.п. Сейчас сервисные сигналы далеко не всегда применяются в оборудование, не исключено что они ограничиваются только TX , RX и GND как в «чистом» UART .

Важно заметить что в RS-232 в качестве уровня логической единицы используется диапазон от -3В до -12В , а логический ноль от +3В до +12 .

(изображение заимствованно из «Гук М. Аппаратные интерфейсы ПК»)

От-3В до +3В , считается зоной неопределенности .

Стандарт RS-232 манипулирует двумя типами оборудования

  • DTE — «оборудования ввода данных», это как привило компьютер
  • DCE — «оконечное оборудование линии связи», это как правило модем/мышь/принтер и т.п.

Для DTE используются разъема типа «папа «, для «DCE » разъемы типа «мама «. Если у вас на компьютере есть COM порт, посмотрите… вы увидите разъем с штырями , т.е. «папа».

Зачем я об этом? Дело в том, что для для соединения устройств с COM портом есть прямой и обратный кабель . Что-бы соединить DTE и DCE (например компьютер и модем) необходимо напрямую соединить все ножки и разъемы, это означает что, ножка отвечающая за передачу данных (RxD ) входит в одноименный разъем (RxD ). Такой соединение называют прямое , а кабель прямой или «модемный «.

Бывают случае когда надо соединить два DTE (например два компьютера), тогда используют обратное соединение , называемое «нуль-модемный » кабель. В этом случае с RxD 1-ого компьютера соединяется с TxD 2-ого и TxD 1-ого с RxD 2-ого .

Если вы хотите поподробнее ознакомиться с RS-232, рекомендую прочесть обзор стандарта на сайте .

Вам будет интересно:

Раз уж я буду использовать UART для связки устройств блога с Вашими проектами немного расскажу как он устроен и как им пользоваться.

Универсальный асинхронный приемопередатчик (UART) довольно старый и распространенный интерфейс. До недавнего времени разъем COM порта (тот-же UART только уровни напряжения другие) был обязательным атрибутом каждого компьютера. Теперь COM порт постепенно «отмирает» и если на «башнях» он еще не редкость, то на ноутбуках его уже нет и в помине. Но в виду простоты и популярности интерфейса подавляющее большинство микроконтроллеров имеет UART в составе своей периферии. И если персональный компьютер UART перестает удовлетворять из-за низкой скорости и невозможности расширения, то для микроконтроллеров интерфейс удобен и использование его будет продолжаться.
Раз UART есть во многих микроконтроллерах, значит мы его будем использовать как один из интерфейсов связи устройств блога с Вашими электронными устройствами.
Для начала немного теории работы интерфейса (без лишних подробностей). Для связи по интерфейсу UART используется две ножки контроллера RXD – для приема сообщений (Receiver) и TXD – для передачи сообщений (Transmitter). UART — полнодуплексный интерфейс. Это значит, что приемник и передатчик работают независимо друг от друга. Более того, передатчик или приемник можно отдельно отключить, освободив ножку контроллера для других нужд. Передача (соответственно и прием) сообщений осуществляется фиксированными пакетами битов (такой пакет называют кадром). Кадр состоит из старт-бита (с него начинается каждый кадр), битов данных (может быть от 5 до 9 бит), бита проверки четности (проверка правильности передачи данных) и одного или двух стоп-битов (сигнал об окончании кадра).

где:
IDLE — ожидание обмена — должна быть 1 ;
St — Старт-бит — всегда 0 ;
(n) — Биты данных — может быть от 5 до 9 бит;
P — Бит четности;
Sp — Стоп бит — всегда 1.

Если посылка содержит более одного байта, каждый следующий байт передается отдельным кадром. Передача (и прием) данных ведется на определенных фиксированных частотах (измеряется в Бод=бит/сек) от 600 до 128 000 Бод. Условием правильной работы порта есть задание одинаковых параметров, как для приемника, так и для передатчика (скорость, количество бит данных, бит четности, количество стоп битов).

Договоримся о формате кадра (настройках UART) для устройств блога:
Скорость передачи – 9600 (это в пределах килобайта в секунду);
Количество бит данных – 8 (наиболее удобно работать);
Бит четности – Even (производится проверка на четность);
Количество стоп-бит – 1;
В сокращенном варианте это выглядит так:
Baud Rate: 9600, 8 Data, 1 Stop, Even Parity

Еще UART может работать в синхронном режиме (для этого задействуется еще одна ножка контроллера) и поддерживать адресацию множества устройств. Но так как наши устройства несложны и нам не нужны данные функции, то рассматривать мы их не будем.

Если нужны дополнительные возможности, то полное описание UART есть в datasheet на микроконтроллер – обращайтесь к нему.

Для того чтобы устройство с блога начало работать с Вашим проектом через интерфейс UART нужно:
1 Подключить устройство блога к соответствующим ножкам микроконтроллера.
2 Настроить приемо-передатчик UART Вашего контроллера. Для этого в соответствующие порта ввода/вывода записать определенные значения.
3 Иметь (написать) процедуры приема/передачи сообщений по UART в Вашей программе.

Теперь рассмотрим подробно каждый пункт:


1 СОЕДИНЕНИЕ УСТРОЙСТВ ПОСРЕДСТВОМ UART.

Тут все просто:
— если планируется и прием и передача — устройства соединяются по двум линиям — TX_устройства с RX_проекта и TX _проекта с RX_устройства (здесь и далее под «устройством» я буду понимать устройство с блога, а под «проектом» — Ваш электронный проект);
— если нужен только прием (например принимаются данные с клавиатуры) — TX_устройства с RX_проекта;
— если нужна только передача (например передаются данные на устройство отображения) — TX _проекта с RX_устройства.


2 НАСТРОЙКА ПРИЕМО-ПЕРЕДАТЧИКА UART.

Как мы договорились выше, формат кадра для наших устройств:
Baud Rate: 9600, 8 Data, 1 Stop, Even Parity
Для работы в с этим форматом кадра нужно в разделе инициализации устройств в Вашей программе, записать соответствующие значения в нужные порта ввода/вывода контроллера. Для этого нужно открыть раздел USART datasheet’а на Ваш микроконтроллер и выбрать/вычислить необходимые значения. Но можно сделать все гораздо проще – использовать автоматические настройщики периферии – CodeWisard’ы.
Возьмем для примера микроконтроллер Attiny2313 (по аналогии можно настроить любой микроконтроллер) и настроим UART в разных языках программирования.

Для начала — Algorithm Builder .
Тут все предельно просто – создаем проект (Файл/Новый ). Выбираем микроконтроллер и частоту задающего генератора в Опции/Опции проекта… (ATtiny2313, внутренний задающий генератор на 8МГц). В панели инструментов жмем кнопочку «S» — настройщик управляющих регистров» выбираем USART и в открывшемся окошке заполняем все как на картинке. Там все подписано и понятно.


Жмем «ОК» . Готово – UART проинициализирован и готов к работе.
Если нужен только приемник или только передатчик ставим только нужную галочку – незадействованную ножку можно использовать как порт ввода-вывода.

Так как в программе будут разрешены прерывания, нужно перед инициализацией USART установить указатель стека на конец памяти («S» /Stack Pointer SP ) и озаглавить вершину блока ключевым словом «Reset ».


В ассемблере. Честно говоря, я не знаю, есть ли в асемблерах для AVR настройщики периферии, но даже если нет, простое решение использовать все тот же Algorithm Builder. В окошке настройки USART, в правой части, прописаны мнемокоманды (Operations), обеспечивающие выбранные характеристики. Перевести их в ассемблерный код не составит труда.

Переводим в ассемблерные команды.

;USART initialization ;Communication Parameters: 8 Data, 1 Stop, Even Parity ;USART Receiver: On ;USART Transmitter: On ;USART Mode: Asynchronous ;USART Baud Rate: 9600 uart_init: LDI R16, $ 00 OUT UBRRH, R16 LDI R16, $ 33 OUT UBRRL, R16 LDI R16, $ 26 OUT UCSRC, R16 LDI R16, $ 00 OUT UCSRA, R16 LDI R16, $ 98 OUT UCSRB, R16

;USART initialization ;Communication Parameters: 8 Data, 1 Stop, Even Parity ;USART Receiver: On ;USART Transmitter: On ;USART Mode: Asynchronous ;USART Baud Rate: 9600 uart_init: LDI R16, $00 OUT UBRRH,R16 LDI R16, $33 OUT UBRRL,R16 LDI R16,$26 OUT UCSRC, R16 LDI R16,$00 OUT UCSRA, R16 LDI R16,$98 OUT UCSRB, R16

CodeVision содержит свой настройщик периферии (CodeWisard), еще похлеще чем у Algorithm Builder’а. Для генерации настроек UART, нажимаем на значок шестеренку (CodeWisardAVR ) на панели инструментов. В открывшемся окошке сначала выбираем вкладку Chip в ней выбираем микроконтроллер и устанавливаем частоту, с которой будет работать задающий генератор. Далее выбираем и заполняем вкладку USART в соответствии с нужными характеристиками (если нужен только приемник или только передатчик ставим соответствующую галочку).

// USART initialization // Communication Parameters: // 8 Data, 1 Stop, Even Parity // USART Receiver: On // USART Transmitter: On // USART Mode: Asynchronous // USART Baud Rate: 9600 UCSRA= 0x00 ; UCSRB= 0x98 ; UCSRC= 0x26 ; UBRRH= 0x00 ; UBRRL= 0x33 ;

// USART initialization // Communication Parameters: // 8 Data, 1 Stop, Even Parity // USART Receiver: On // USART Transmitter: On // USART Mode: Asynchronous // USART Baud Rate: 9600 UCSRA=0x00; UCSRB=0x98; UCSRC=0x26; UBRRH=0x00; UBRRL=0x33;

Сохраняем сгенерированный проект (File\Generate, Save and Exit ) — готово. Создан проект со всеми нужными установками для UART. В проекте инициализируется и другая периферия (зачастую не нужная). После создания проекта его можно подкорректировать – удалить все не нужное.


3 СОЗДАНИЕ ПРОЦЕДУР ОБРАБОТКИ СООБЩЕНИЙ UART.

Небольшое отступление.
Работу с UART можно организовать различными способами. Например:
— просто ожидать в теле программы когда придет сообщение, постоянно проверяя бит приема сообщения;
— разрешить прерывание и в теле прерывания обрабатывать сообщение;
— создать буфер куда по прерываниям будут загоняться сообщения, а уже в теле программы, «по свободе», считывать из буфера значения;
— еще куча вариантов – выбор за Вами.
Но , исходя из того, что сообщения от устройств, в большинстве своем, единичные (один байт) и не слишком часты (взять, к примеру, клавиатуру – пару нажатий в секунду, не больше), наилучшим вариантом, в плане экономии памяти и скорости обработки, будет обработка сообщения UART в теле прерывания. Под обработкой я понимаю чтение регистров, проверка на правильность приема и сохранение принятого байта в глобальной переменной (своего рода буфер в один байт). Если предполагаются несложные манипуляции с принятым байтом можно их тоже организовать в теле прерывания.

В дальнейшем я буду ориентироваться на такой алгоритм работы, если другой не будет более оправданным.

Algorithm Builder.
Прием данных осуществляется в процедуре обработки прерывания по окончании приема байта (кадра). Принятый байт записывается в глобальную переменную FromGCnDevice. В теле программы проверяется значение FromGCnDevice ели оно нулевое ничего не принято.

Если работа с принятым значением несложна можно это сделать прямо в теле обработки прерывания.
Передача данных производиться без использования прерываний и буфера (аппаратно у UART передатчика существует буфер на 2 байта). Это значит, что комфортно будут передаваться только единичные байты (что мы и планируем делать). Если зарядить сразу строку данных, то микроконтроллер будет заниматься только этой строкой.

Ассемблер.
Прием байта осуществляется в прерывании, результат остается в регистре r17 (если нужно сохраните в SRAM).

;Обработка прерывания по окончании приема байта PUSH R16 IN R16, SREG PUSH R16 IN R16, UCSRA ;Читаем статус из UCSRA IN R17, UDR ;Читаем данные из UDR ANDI R16, $ 1C BREQ _END ;Проверяем на ошибки CLR R17 _END: ;в R17 находится принятый байт POP R16 OUT SREG, R16 POP R16 RETI

;Обработка прерывания по окончании приема байта PUSH R16 IN R16,SREG PUSH R16 IN R16,UCSRA ;Читаем статус из UCSRA IN R17,UDR ;Читаем данные из UDR ANDI R16,$1C BREQ _END ;Проверяем на ошибки CLR R17 _END: ;в R17 находится принятый байт POP R16 OUT SREG,R16 POP R16 RETI

Передача байта

LDI R16,значение SBIS UCSRA,UDRE RJMP PC-1 ; ждем готовности принять байт OUT UDR, R16 ; шлем байт


С — в программе CodeVisionAVR .
Тут все просто CodeWizard вместе с инициализацией UART создает и процедуры для приема-передачи. Единственно что можно тут поковырять так это выкинуть буфер для приема (если разрешить прерывания по приему или передаче автоматически создается буфер). Если этот буфер не нужен процедура обработки прерывания приема байта и процедура передачи могут выглядеть так:

// Глобальная переменная - полученные даные от устройства // Эсли FromGCnDevice==0 - ничего не получено char FromGCnDevice; // Обработка прерывания окончания приема байта interrupt [ USART_RXC] void usart_rx_isr(void ) { char status; // Получаем байт статуса и данных status= UCSRA; FromGCnDevice= UDR; // Если произошла ошибка при приеме байта то FromGCnDevice=0 if ((status & amp; (FRAMING_ERROR | PARITY_ERROR | DATA_OVERRUN) ) != 0 ) FromGCnDevice= 0 ; } // Процедура передачи байта void ToGCnDevice (char c) { // Ждем окончания передачи предідущего байта while ((UCSRA & amp; DATA_REGISTER_EMPTY) == 0 ) ; // Передаем байт UDR= c; }

// Глобальная переменная - полученные даные от устройства // Эсли FromGCnDevice==0 - ничего не получено char FromGCnDevice; // Обработка прерывания окончания приема байта interrupt void usart_rx_isr(void) { char status; // Получаем байт статуса и данных status=UCSRA; FromGCnDevice=UDR; // Если произошла ошибка при приеме байта то FromGCnDevice=0 if ((status & (FRAMING_ERROR | PARITY_ERROR | DATA_OVERRUN))!=0)FromGCnDevice=0; } // Процедура передачи байта void ToGCnDevice (char c) { // Ждем окончания передачи предідущего байта while ((UCSRA & DATA_REGISTER_EMPTY)==0); // Передаем байт UDR=c; }


Во и все про UART. Этого должно хватить для того чтобы подключить устройство к Вашему проекту. По анологии приведенных примеров легко все можно проделать и для других микроконтроллеров АВР.
Ниже оставляю архивы программ с примерами работы UART для ATtiny2313.
- Пример проекта созданного автоматически CodeWisionsAVR
- Пример программы для работы с UART в Algorithm Builder


P.S. Я слабо знаю С и Asm, поэтому пинать и кидать тапками — разрешается! Мы все учимся.


Инициализируется

(Visited 10 796 times, 2 visits today)

Протокол передачи данных UART
Универсальный асинхронный приёмопередатчик

Предисловие

Современная цифровая электроника - это всегда соединения между цепями (процессорами, контроллерами и т.д.) для создания симбиотической системы. Для того, чтобы отдельные микросхемы могли бы "понимать" друг друга, они должны разделять некий общий протокол связи. За годы существования цифровой техники было разработано множество протоколов. В целом, их все можно разделить на две большие группы - параллельные и последовательные.

Параллельный или последовательный?

Параллельные интерфейсы передают одновременно (параллельно) несколько бит информации (отсюда, собственно и их название). Для передачи данных такие интерфейсы требуют наличия шин, состоящих из 8, 16 или более проводников.

Схема параллельного 8-разрядного интерфейса. Передача данных управляется тактирующим сигналом CLK. Байт данных передается по каждому импульсу CLK . Используется 10 проводов.

В противоположность параллельным, последовательные интерфейсы передают по одному биту за раз. Теоретически такой интерфейс может работать на одном единственном проводе. На практике используется до четырех.

Эти два интерфейса можно сравнить с потоком автомобилей. Параллельный интерфейс - это широкое шоссе с количеством полос движения более восьми, а последовательный интерфейс больше поход на двухполосную сельскую дорогу. Мега - Шоссе, потенциально, имеет бОльшую пропускную способность, но очень дорого с точки зрения строительства. Сельская дорога просто выполняет свою функцию и стоит во много раз дешевле многополосного мега-шоссе.

Вне всякого сомнения, параллельные интерфейсы имеют свои преимущества. Это прямота, быстрота, и легкость реализации. Но мы получаем это за счет большого количества проводов (линий) передачи данных. Если вам когда либо приходилось разрабатывать программы для микроконтроллеров (например в среде Ардуино), вы наверняка знаете, насколько драгоценными могут быть линии ввода/вывода. Поэтому мы часто останавливаем свой выбор именно на последовательной связи, жертвуя скоростью, но экономя драгоценные порты микроконтроллера.

Асинхронный последовательный интерфейс

За время существования цифровой техники были созданы десятки последовательных протоколов. USB (универсальная Последовательная Шина) и Ethernet - это пример двух наиболее популярных сейчас последовательных протоколов. Другие очень популярные последовательные интерфейсы - это SPI, I2C и последовательный интерфейс, о котором пойдет речь в этой статье. Каждый их этих интерфейсов можно отнести к одной из двух подгрупп - Асинхронные и Синхронные.

Синхронный протокол всегда включает линию тактового сигнала. Это обеспечивает более простую (и зачастую более быструю) передачу данных, но требует как минимум один дополнительный провод. Пример синхронных интерфейсов - это SPI и I2C.

Асинхронный интерфейс подразумевает, что данные передаются без поддержки внешнего тактового сигнала.Этот метод передачи идеально подходит для минимизации количества проводов, но это означает, но для надежной передачи и приема данных рнужно приложить дополнительные усилия. Последовательный интерфейс, который мы обсудим в этой статье, является наиболее распространенным и старым асинхронным и протоколом. Часто бывает так, что, когда человек говорит «последовательный», он имеет в виду именно этот протокол.

Асинхронный последовательный интерфейс, о котором здесь идет речь, широко используется во встраиваемых системах. Если вы хотите добавить в свой проект модуль GPS, Bluetooth, XBee, последовательные ЖК-дисплеи или многие другие внешние устройства, вам, вероятно, придется столкнуться с одним из последовательных интерфейсов.

Правила последовательного интерфейса.
Асинхронный последовательный протокол имеет ряд встроенных правил - механизмов, которые помогают обеспечить надежную и безошибочную передачу данных. Это те механизмы, которые позволяют передавать данные без использования внешнего тактового сигнала:

Биты данных
- Биты синхронизации
- Биты проверки четности
- Скорость передачи

Благодаря сочетанию этих правил - параметров, протокол очень гибкий. Для успешной связи нужно убедиться, что оба устройства на шине настроены на использование одинаковых правил.

Скорость передачи

Данный параметр определяет скорость передачи данных по последовательной линии. Обычно это выражается в единицах бит в секунду (бит / с или БОД). Если вы инвертируете этот параметр, выраженный в бодах, то получите время, которое требуется для передачи одного бита. Это значение определяет, как долго передатчик держит последовательную линию в высоком / низком состоянии или в какой период принимающее устройство производит выборку своей линии.

Скорость передачи может быть практически любой в пределах разумного. Единственное требование заключается в том, что оба устройства работали с одинаковой скоростью. Одна из наиболее распространенных скоростей передачи, особенно для простых применений, где скорость не критична, составляет 9600 бит / с. Другие «стандартные» скорости - 1200, 2400, 4800, 19200, 38400, 57600 и 115200.

Чем выше скорость передачи в бодах, тем быстрее осуществляется передача и прием данных. Но для максимальной скорости существуют физические ограничения. Обычно на практике вы не увидите скоростей, превышающих 115200. Для большинства микроконтроллеров это максимальная скорость. Если выбрать слишком высокую скорость, то неизбежно появятся ошибки на
принимающей стороне.

Кадры данных

Каждый блок данных (обычно это байт) фактически отправляется в пакете или кадре битов. Кадры создаются путем добавления бит синхронизации и бит четности к битам данным.

Давайте рассмотрим каждую часть кадра более подробно

Блок Данных (Data chunk)

Самая важная часть каждого пакета - это блок данных, так как именно этот блок несет полезную информацию. Мы намеренно называем этот блок "куском" данных (chunk), поскольку его размер конкретно не указан. Количество данных в каждом пакете может быть установлено любым - от 5 до 9 бит. Разумеется, стандартный размер данных - это наш с вами основной 8-разрядный байт, но другие его размеры также в ходу. 7-битный блок данных может быть более эффективным, чем 8-битный, особенно если вы просто переносите 7-битные символы ASCII (текст).

После согласования длины символа оба устройства на последовательной шине также должны согласовать достоверность своих данных. Являются ли данные наиболее старшим битом (msb) наименьшим, или наоборот? Если не указано иное, обычно мы предполагаем, что сначала передается младший бит (lsb)

Биты синхронизации

Биты синхронизации представляют собой два или три специальных бита, передаваемых с каждым фрагментом данных. Это стартовый и стоповый биты. Эти биты отмечают начало и конец пакета. Всегда есть только один стартовый бит, но количество стоповых бит настраивается отдельно. Может быть один или два стоп-бита (чаще всего используется один).

Старт-бит всегда определяется линией данных по его спаду (переходу от 1 в 0), в то время как стоп биты определяются линией по фронту, то есть по переходу из 0 в 1.

Биты четности

Четность - это форма очень простой, низкоуровневой проверки ошибок. Может быть два варианта такой проверки: нечетный или четный. Чтобы создать бит четности, все 5-9 бит блока данных складываются, а четность суммы определяет, установлен бит четности или нет. Например, представим себе что у нас проверка установлена в режим четности. Байт данных в двоичном представлении равен 01011101. Видим, что в байте нечетное количество единиц (пять единиц). В этом случае бит проверки четности будет установлен в 1. Если мы настроим режим проверки на на нечетность, то соответственно, бит проверки будет установлен в 0.

Пример настройки протокола: 9600 8N1

9600 8N1 - 9600 бод, 8 бит данных, без контроля четности и 1 стоповый бит - это одна из наиболее часто используемых настроек последовательного протокола. Итак, как выглядит пакет или два из 9600 8N1 данных? Приведем пример:


Фактически для каждого байта передаваемых данных отправляются 10 бит: начальный бит, 8 бит данных и стоповый бит. Таким образом, при 9600 бит / с мы фактически отправляем 9600 бит в секунду или 960 (9600/10) байтов в секунду.

Теперь, когда вы знаете, как создавать последовательные пакеты, мы можем перейти к разделу аппаратного обеспечения.
Мы увидим, как всё это будет реализовано на уровне сигнала.

Последовательная шина состоит всего из двух проводов: один для отправки данных и другой - для приема. Таким образом, последовательные устройства должны иметь два последовательных контакта: приемник, (RX ) и передатчик (TX ).

Важно понимать, что обозначения RX и TX относятся к самому устройству. Поэтому RX одного устройства должен соединяться с TX другого, и наоборот. Это может показаться странным, если вы привыкли подключать сигналы VCC к VCC, GND к GND, MOSI в MOSI и т.д. Но все-таки это имеет смысл, поскольку передатчик (TX ) должен разговаривать с приемником (RX) , а не с другим передатчиком.

Последовательный интерфейс, в котором оба устройства могут отправлять и принимать данные, называется дуплексным или полудуплексным . Дуплексный означает, что оба устройства могут отправлять и принимать одновременно. Полудуплексная связь означает, что последовательные устройства должны по очереди отправлять и принимать данные.

Некоторые последовательные шины могут функционировать с помощью всего лишь одного провода между отправляющим и принимающим устройством. Например, ЖК-дисплеи с последовательным включением на самом деле не передают никаких данных обратно в управляющее устройство. Это называется симплексная последовательная связь. Все, что вам нужно - это один провод TX ведущего устройства к контакту RX управляемого.

Реализация в железе

Итак, мы с вами рассмотрели асинхронный последовательный протокол с концептуальной стороны. Мы знаем, какие провода нам нужны. Но как осуществляется последовательная связь на уровне сигнала? На самом деле, по-разному. Существуют всевозможные стандарты. Давайте рассмотрим пару наиболее популярных аппаратных реализаций последовательного интерфейса: логического уровня (TTL) и RS-232.

Когда микроконтроллеры и другие низкоуровневые ИС взаимодействуют между собой по последовательному протоколу, они обычно делают это на уровне TTL (транзисторно- транзисторная логика). Последовательные сигналы TTL живут между диапазоном напряжения питания микроконтроллера - обычно от 0 до 3,3 В или 5 В. Сигнал на уровне VCC (3,3 В, 5 В и т. д.) указывает либо о простое, либо это - бит 1 данных, либо стоп-бит. Сигнал 0 В (GND) представляет собой либо стартовый бит, либо бит данных значения 0.

Интерфейс , который можно найти на некоторых более старых компьютерах и периферийных устройствах, похож на обычный последовательный TTL. У них просто разные уровни напряжения. Обычно сигналы RS-232 варьируются от -13 В до +13В, хотя спецификация позволяет все что угодно в диапазоне от +/- 3 В до +/- 25 В. Низкое напряжение (-5 В, -13 В и т. д.) указывает либо холостой ход, либо стоп-бит, либо бит данных значения 1. Высокий сигнал RS-232 означает либо стартовый бит, либо 0-бит данных. В полярности сигналов как раз кроется противоположность последовательному TTL.

Во встроенных схемах (внутри одного устройства) намного проще использовать последовательные сигналы формата TTL. Но в случае с длинными линиями передачи данных низкие уровни TTL намного более восприимчивы к потерям и помехам. RS-232 или более сложные стандарты, такие как RS-485, лучше подходят для последовательных передач на большие расстояния.

Когда вы соединяете два последовательных устройства вместе, важно убедиться, что их сигнальные напряжения совпадают. Вы не можете напрямую соединять последовательное устройство TTL с шиной RS-232. Вам придется конвертировать их уровни для взаимной совместимости.

UART

Универсальный асинхронный приемник / передатчик (UART) представляет собой блок схем, ответственный за реализацию последовательной связи. По сути, UART выступает в качестве посредника между параллельными и последовательными интерфейсами. На одном конце UART есть шина из восьми (или около того) линий данных (плюс некоторые управляющие контакты), с другой - два последовательных провода - RX и TX.

Интерфейсы UART существуют в виде отдельных микросхем, но чаще всего они встроены в микроконтроллеры. Чтобы узнать, есть ли у вашего МК протокол UART, вам придется почитать даташит на этот контроллер. У некоторых нет ни одного, у некоторых есть, у некоторых их несколько. Например, Arduino Uno, основанный на старом добром ATmega328, имеет только один UART, в то время как Arduino Mega - построенный на ATmega2560 - имеет целых четыре UART.

R и T в терминологии UART несут ответственность за отправку и получение последовательных данных. На стороне передачи UART должен создать пакет данных - добавление битов синхронизации и четности - и отправить этот пакет по линии TX в соответствии с установленной скоростью передачи. На стороне приема UART должен проверять линию RX со скоростью, соответствующей ожидаемой скорости передачи в бодах, выбирать биты синхронизации и выделять данные.

В этом уроке я расскажу про UART интерфейс в микроконтроллерах AVR и про работу с ним в . UART это универсальный асинхронный приёмопередатчик. Сам интерфейс достаточно распространён и имеется практически во всех AVR микроконтроллерах, исключения лишь составляет микроконтроллер Attiny13 и еще некоторые. Передача данных осуществляется по биту в равные промежутки времени, этот промежуток времени задаётся скоростью в бодах, вот например стандартные скорости: 4800 бод, 9600 бод, 19200 бод, 38400 бод и т.д. Следует также учесть, что скорость должна быть одинаковой с обеих сторон подключения. Кстати приёмник и передатчик работают независимо. Подключение UART осуществляется по трём линиям: RXD – приём, TXD – передача и GND – общий (минус). Подключать UART надо, так сказать "наоборот" RXD к TXD, а TXD к RXD как на картинке ниже:

С помощью UART также можно можно связать микроконтроллер и компьютер, но есть одна проблема: у UART интерфейса логические уровни 0 и +5 вольт, а в компьютере логические уровни в интерфейсе RS-232 могут быть от -25 до -3 вольт и от +3 до +25 вольт. Решить эту проблему нам поможет конвертер уровней, его можно собрать на транзисторах, а лучше использовать специальную микросхему MAX232. Вот самая распространенная схема подключения MAX232:

Работа с UART в BASCOM-AVR

Прежде всего, перед началом работы с UART нужно указать скорость в бодах, делается это командой: $baud = (скорость). Например: $baud = 9600 .Также не забываем указывать реальную частоту тактового генератора командой $crystal = (скорость Hz), в ином случае скорость работы программы будет не совпадать со скоростью работы микроконтроллера и в итоге приём или передача данных будет неверная. Пример написания команды $crystal на частоту 8МГц: $crystal = 8000000 . Кстати, имеет смысл открыть окно настроек в BASCOM-AVR (Options>Compiler>Communications):

В этом окне настроек вы можете указать скорость работы UART, тактовую частоту тактового генератора и посмотреть процент ошибок при выбранной тактовой частоте. Но лучше указывать скорость и тактовую частоту непосредственно в самой программе. Кстати процент ошибок при тактовой частоте в 4МГц очень мал (0.16%), но все, же есть. Если вы хотите чтобы процент ошибок был нулевой надо подобрать такую тактовую частоту, которая будет кратна скорости работы UART. Например, при тактовой частоте 3.6864 МГц и скорости работы UART в 115 200 бод процент ошибок будет нулевым.

И так, после указания тактовой частоты и скорости работы UART можно приступить к работе с самим интерфейсом. Чтобы послать, что-либо в UART есть команда Print (переменная или текст в кавычках), вот пример её использования: Print "Hello, world!" . Кроме текста в кавычках можно выводить и переменные, причём сразу несколько разделяя точкой с запитой, например: Print "Weight:" ; a ; "kg" или так Print "Hello," ; "world!" . Также с UART можно и принять, делается это командой Input (текст или переменная в кавычках для посылки), (переменная, куда записывать полученные данные). Как видим всё очень просто: сначала пишем в кавычках текст, который передастся в UART, а потом указываем переменную, в которую запишутся данные полученные данные из UART. Вот пример: Input "Weight:", a

Работа с UART на практике

Ну а теперь попробуем "порулить" UART на практике, сначала соберём простую схему:

Потом наберём простую программку, (используя полученные знания) и откомпилируем её. Вот и она:

$crystal = 8000000 $baud = 9600 Dim A As Byte Print "Hello, world!" Print "Hello http://сайт" Input "Size:" , A Print "Size=" ; A ; "bytes" End

А работать эта программка будет так: сначала будет посылать в UART текст, а потом будет ждать приёма данных (в данном случае числа) которые запишутся в переменную a и позже пошлёт текст вместе с переменой. Для тех, кому лень компилировать, в файлах к уроку есть готовая прошивка. Прошиваем микроконтроллер, подключаем выводы микроконтроллера RXD, TXD (подключаем, как я писал выше) и GND к COM порту компьютера (через конвертер уровней) или к USB (USB – UART переходник), открываем на компьютере программу для работы с COM портами, например: Terminal by Bray, Hyper Terminal или Terminal emulator в BASCOM-AVR, указываем COM порт к которому подключились, указываем скорость в бодах, смотрим в окно программы, подаём питание на микроконтроллер и радуемся. Необходимо также учесть, что после прошивки микроконтроллера необходимо установить фьюз биты на нужную нам тактовую частоту генератора в данном случае (для программки выше) на 8МГц. На 8МГц можно использовать внутренний тактовый генератор микроконтроллера и установить фьюз биты вот так (для ).